The world's premier lithography event - SPIE

Page created by Mathew Bishop
 
CONTINUE READING
The world's premier lithography event - SPIE
SPIE ADVANCED LITHOGRAPHY PLAN TO ATTEND
The world’s premier lithography event.
Conferences and Courses:
24-28 February 2019

Exhibition:
26-27 February 2019

San Jose, California, USA

ADVANCE TECHNICAL PROGRAM
PROGRAM CURRENT AS OF
2 NOVEMBER 2018

spie.org/AL19program
The world's premier lithography event - SPIE
CONNECTING MINDS.
                                                         ADVANCING LIGHT.

    ADVANCED
LITHOGRAPHY 2019
TECHNOLOGIES FOR LITHOGRAPHY R&D, DEVICES, TOOLS,
           FABRICATION, AND SERVICES.
         Conferences and Courses: 24-28 February 2019
                Exhibition: 26-27 February 2019
                   San Jose, California, USA

                  One Week
          Many Opportunities
                 CUTTING-EDGE RESEARCH

                 WORLD-CLASS SPEAKERS

                 TRAINING AND EDUCATION

                FOCUSED TECHNICAL TOPICS

                Register Today
              spie.org/AL19program
The world's premier lithography event - SPIE
YOUR CONFERENCE
                                                                                                                                                                     REGISTRATION
                                                                                                                                                                     INCLUDES:
                                                                                                                                                                     - Conference sessions, plenaries,
                                                                                                                                                                       panels and workshops,
                                                                                                                                                                       technical group meetings,
                                                                                                                                                                       and poster session

                                                                                                                                                                     - Welcome reception

                                                                                                                                                                     - Exhibition

                                                                                                                                                                     - Lunches and dessert snacks
                                                                                                                                                                       on Tuesday and Wednesday
                             Everything you need to know about                                                                                                         (excluding student attendees)
                                    the meeting is online                                                                                                            - Coffee breaks
                                          • Up-to-date paper listings and session times
                                                                                                                                                                     - Breakfast breads
                                    • Hotel, travel, and complete registration information
                                                                                                                                                                     - A choice of Proceedings
                                • Schedule your week: MySchedule Tool and phone apps

                                               • Information about local travel options

                                             Reserve Hotel Rooms by: 31 JANUARY 2019
                                                                                                                                                                                    Download the
                                      Registration Rates Increase after: 8 FEBRUARY 2019
                                                                                                                                                                                    SPIE Conference App

                                      PROGRAM CURRENT AS OF: 2                            November 2018

                               Learn · Connect · Do Business
SPIE is the international society for optics and photonics, an educational not-for-profit organization founded in 1955 to advance light-   SPIE International Headquarters   SPIE Europe Office
based science, engineering, and technology. The Society serves nearly 264,000 constituents from 166 countries, offering conferences
and their published proceedings, continuing education, books, journals, and the SPIE Digital Library in support of interdisciplinary       PO Box 10                         2 Alexandra Gate
information exchange, professional networking, and patent precedent. SPIE provided more than $4 million in support of education            Bellingham, WA 98227-0010 USA     Ffordd Pengam, Cardiff, CF24 2SA UK
and outreach programs in 2018.                                                                                                             Tel: +1 360 676 3290              Tel: +44 29 2089 4747
SPIE would like to express its deepest appreciation to the symposium chairs, conference chairs, program committees, session chairs,
                                                                                                                                           Fax: +1 360 647 1445              Fax: +44 29 2089 4750
and authors who have so generously given their time and advice to make this symposium possible.                                            help@spie.org • spie.org          info@spieeurope.org • spie.org
The symposium, like our other conferences and activities, would not be possible without the dedicated contribution of our participants
and members. This program is based on commitments received up to the time of publication and is subject to change without notice.

               THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.                                             1
The world's premier lithography event - SPIE
Plenary Presentations                                                 Executive Committee

                   pages 6–7                                                             Ofer Adan, Applied Materials (Israel)
                                                                                         Jason P. Cain, Advanced Micro Devices, Inc. (USA)
                   Don’t miss these world-class speakers discussing the                  Will Conley, Cymer – An ASML company (USA)
                   latest directions and most promising breakthroughs.                   Nelson Felix, IBM Corp. (USA)
                                                                                         Kenneth A. Goldberg, Lawrence Berkeley National Lab.
                                                                                         (USA)
                                                                                         Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)
                                                                                         Jongwook Kye, SAMSUNG Electronics Co., Ltd. (Korea.
                                                                                         Republic of)

                    Special Events                                                       Catherine B. Labelle, Consultant (USA)
                                                                                         Kafai Lai, IBM T.J. Watson Research Ctr. (USA)
                    page 8                                                               Soichi Owa, Nikon Corp. (Japan)
                                                                                         Eric M. Panning, Intel Corp. (USA)
                    Join your peers and colleagues at these special
                                                                                         Martha I. Sanchez, IBM Research – Almaden (USA)
                    events including the Welcome Reception, and a
                    panel discussion on Lithography Scaling: Is this                     Daniel P. Sanders, IBM Research – Almaden (USA)
                    the end?                                                             Vladimir A. Ukraintsev, Qorvo™ (USA)
                                                                                         Richard Wise, Lam Research Corp. (USA)
                                                                                         Chi-Min Yuan, NXP Semiconductors (USA)

                                                                                         Advisory Committee
                    Award Announcements                                                  Robert D. Allen, IBM Research - Almaden (USA)
                    page 9                                                               William H. Arnold, ASML US, Inc. (USA)
                                                                                         Timothy A. Brunner, GLOBALFOUNDRIES Inc. (USA)
                    Don't miss any of the award announcemnts and                         Ralph R. Dammel, EMD Performance Materials Corp. (USA)
                    presenations at 2019 Advanced Lithography.                           Donis G. Flagello, Nikon Research Corp. of America (USA)
                                                                                         Harry J. Levinson, HJL Lithography (USA)
                                                                                         Burn Lin, National Tsing Hua Univ. (Taiwan)
                                                                                         Chris A. Mack, Fractilia, LLC (USA)
                                                                                         Christopher J. Progler, Photronics, Inc. (USA)
                                                                                         Bruce W. Smith, Rochester Institute of Technology (USA)

                    Exhibition                                                           C. Grant Willson, The Univ. of Texas at Austin (USA)
                                                                                         Anthony Yen, ASML US, LP (USA)
                    page 11
                    Exhibit at SPIE Advanced Lithography. Join global
                    suppliers for lithography research and development,
                    devices, tools, fabrication, and services.

2   SPIE ADVANCED LITHOGRAPHY 2019       ·   spie.org/al19program ·       #SPIELitho ·
The world's premier lithography event - SPIE
Join industry leaders to solve the latest challenges
                       in lithography and patterning in the semiconductor industry.

Conferences: Hear the latest advancements in optical lithography, metrology, or EUV.
                                                  Extreme Ultraviolet (EUV) Lithography X (Goldberg)  . . . . . . . . . . . . 14–44
                                                                                                                                                                                                                     APPLICATION TRACKS
                                                  Novel Patterning Technologies for Semiconductors, MEMS/NEMS
                                                  and MOEMS 2019 (Sanchez)  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  14–46                                                             Look for these icons to help you
                                                                                                                                                                                                                     easily locate presentations you
                                                  Metrology, Inspection, and Process Control for Microlithography                                                                                                    want to attend on these hot topics.
                                                  XXXIII (Ukraintsev) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  14–47                                                 The presentations are scheduled to
                                                                                                                                                                                                                     prevent timing conflicts.
                                                  Advances in Patterning Materials and Processes XXXVI (Gronheld) 14–46
                                                  Optical Microlithography XXXII (Kye) . . . . . . . . . . . . . . . . . . . . . . . . . . .  15–29
                                                  Design-Process-Technology Co-optimization for                                                                                                                                            Overlay        Machine
                                                                                                                                                                                                                      Stochastics
                                                  Manufacturability XIII (Cain)  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  15–46                                                                                             Learning
 7 CONFERENCES
                                                  Advanced Etch Technology for Nanopatterning VIII (Wise) . . . . . . .  15–35

Courses: Stay competitive. Optimal training for career enhancement, taught by recognized experts in industry and academia.
                                                 SC101:       Introduction to Microlithography: Theory,                                                                    SC1263: NEW Stochastic Lithography, (Mack, Petersen), p. 53
                                                              Materials, and Processing, (Willson, Bowden,
                                                              Dammel), .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  .  . p. 49      SC1264: NEW Machine Learning for Lithography,
                                                                                                                                                                                   (Shiely),  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . p. 53
                                                 SC1099: Chemistry and Lithography, (Okoroanyanwu), p. 50
                                                                                                                                                                           SC885:        Principles and Practical Implementation of
                                                 SC1100: Scatterometry in Profile, Overlay and Focus                                                                                     Multiple Patterning, (Dusa, Hsu),  .  .  .  .  .  .  .  .  .  . p. 54
                                                         Process Control, (Cramer, Turovets) .  .  .  .  .  .  .  . p. 50
                                                                                                                                                                           SC888:         EUV Lithography, (Bakshi, Ahn, Naulleau),  . . p. 55
                                                 SC1132: Computational Basis for Advanced
                                                         Lithography Techniques, (Lai),  . . . . . . . . . . . p. 51                                                       SC992:        Lithography Integration for Semiconductor
                                                                                                                                                                                         FEOL & BEOL Fabrication, (Lin, Zhang),  .  .  .  . p. 56
                                                 SC1133: Advanced concepts in Metrology Toolset
                                                         Stability and Matching, (Solecky, Adan),  .  .  .  . p. 51                                                        SC1030: Interaction of Physical Design and
 13 COURSES                                                                                                                                                                        Lithography, (Yuan),  . . . . . . . . . . . . . . . . . . . . p. 49
                                                  SC1158: Metrology of Image Placement, (Starikov),  .  . p. 52
                                                                                                                                                                           SC616:        Practical Photoresist Processing, (Dammel), p. 54

                                                  DAILY EVENT SCHEDULE . . . . . . . . . . . . . . . . . . . . . . .  4                                                 GENERAL INFORMATION . . . . . . . . . . . . . . . . . . . . . . . . . . 58–60
                                                  EVENT SPONSORS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10                                         Registration · Author/Presenter Information · Policies ·
                                                                                                                                                                        Food and Beverage · Onsite Services · Parking and Car Rental
                                                  TECHNICAL CONFERENCE
                                                                                                                                                                        SPIE POLICIES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62–63
                                                  SESSION SCHEDULE  . . . . . . . . . . . . . . . . . . . . . . . . . 12–13
                                                                                                                                                                        PROCEEDINGS  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  65

          THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.                                                                                                                3
The world's premier lithography event - SPIE
DAILY SCHEDULE
         SUNDAY                        MONDAY                                         TUESDAY                                        WEDNESDAY                                 THURSDAY

    Special Events      Welcome and Announcements (Conley, Lai),        Symposium-wide Panel Discussion -             Women in Optics Networking Lunch, 12:00     Award Announcement for Conf. 10959:
                        8:00 am to 8:30 am, p. 6                        Lithography Scaling: Is This the End?         to 1:00 pm, p. 8                            Presentation of the 2019 Karel Urbanek
                                                                        (Levinson, Mack), 6:00 to 8:00 pm, p. 8                                                   Best Student Paper Award, 10:20 to 10:30
                        Plenary Presentation: The Future is Quantum,                                                  Poster Session, 5:30 to 7:30 pm, p. 8
                                                                                                                                                                  am, p. 9
                        8:30 to 9:10 am, (Gil), p. 6

                        Plenary Presentation: 3D NAND Flash                                                                                                       Award Announcement for Conf. 10961:
                        Technology: Roadmap, Process, Design and                                                                                                  Presentation of the 2019 Cymer Leadership
                                                                                                                                                                  for Best Student Paper Award, 10:20 to
                        Challenges, 9:10 to 9:50 am, (Choe), p. 6                                      EXHIBITION, p. 11                                          10:30 am, p. 9
                                                                                 10:00 am to 5:00 pm 			                             10:00 am to 4:00 pm
                        Plenary Presentation: Patterning Process
                        Innovation in 3D NAND, (Steen, van
                        Schravendijk, Kubis, Cromwijk, Kattouw), 9:50
                        to 10:30 am, p. 7

                        Award Announcement for Conf. 10959:
                        Presentation of the 2018 Diana Nyyssonen
                        Memorial Award for Best Paper in
                        Metrology, 11:00 to 11:15 am, p. 9

                        Award Announcement for Conf. 10960:
                        Presentation of the 2018 C. Grant Willson
                        Award for Best Paper, 2018 Hiroshi Ito
                        Memorial Award for the Best Student
                        Paper, and 2018 Jeffrey Byers Memorial
                        Best Poster Award, 2:00 to 2:20 pm, p. 9

                        SPIE Fellow Member and Student Luncheon,
                        12:30 to 1:30 pm, p. 8

                        Welcome Reception, 6:00 to 7:00 pm, p. 8

                        Panel Discussion: Nanotechnology in
                        Microlithography: Intersecting the Quantum
                        Future and the Semiconductor Industry
                        (Barnes, Kline), 7:10 to 8:40 pm, p. 8

    Conferences         Conf. 10957 Extreme Ultraviolet (EUV) Lithography X (Goldberg), p. 14–44

                        Conf. 10958 Novel Patterning Technologies for Semiconductors, MEMS/NEMS and MOEMS 2019 (Sanchez), p. 14–46

                        Conf. 10959 Metrology, Inspection, and Process Control for Microlithography XXXIII (Ukraintsev), p. 14–47

                        Conf. 10960 Advances in Patterning Materials and Processes XXXVI (Gronheld), p. 14–46

                                                                        Conf. 10961 Optical Microlithography XXXII (Kye), p. 15–29

                        Conf. 10963 Advanced Etch Technology for Nanopatterning VIII (Wise), p. 15–35                Conf. 10962 Design-Process-Technology Co-optimization for Manufacturability XIII
                                                                                                                     (Cain), p. 15–46

                     COURSES: Sunday, Monday, and Thursday
                             See Course schedule and course
                               descriptions pages 49–55.

4                          SPIE ADVANCED LITHOGRAPHY 2019                    ·   spie.org/al19program ·           #SPIELitho ·
The world's premier lithography event - SPIE
ATTEND THE
                                                            Plan to Attend
 LEADING EVENT FOR THE
LITHOGRAPHY COMMUNITY                                       For over 40 years, SPIE Advanced Lithography has played a key role in bringing together the
                                                            micro- and nanolithography community. Lithography continues to be challenged to extend
                                                            into ever-shrinking generations, yet remain manufacturable and cost effective. State-of-
                                                            the-art processes continue with immersion lithography and multiple patterning while EUV
                                                            lithography moves closer toward production readiness. At the same time, the lithography
                    2019                                    community aggressively pursues alternative patterning approaches and complementary solu-
                                                            tions. Success calls for unique interdisciplinary interactions and coordinated efforts between
                                                            lithographers, layout designers, materials scientists, and metrology/process control engineers
                                                            to enable cost-efficient patterning solutions.

                                                            A full spectrum of lithography and patterning topics are encompassed by this year’s sym-
                                                            posium across seven complementary conferences. Participants come from a broad array of
                                                            backgrounds to share and learn about state-of-the-art lithographic tools, resists, metrology,
                                                            materials, etch, design, process integration, and novel new approaches. Through provocative
                       2,200                                panel discussions and seminars, the symposium also probes current issues being faced as
                       ATTENDEES                            we extend current methods, move toward alternative approaches, and identify new ways to
                                                            complement one technology with another.

                      3                                     Over the years, SPIE Advanced Lithography has provided the unique and primary forum for
                      PLENARY                               meeting and interacting with a wide range of industry experts, researchers, and key players
                      PRESENTATIONS                         working on patterning technology development. Attendance ensures that participants learn
                                                            and share the latest developments in areas of central importance to many vital technology
                        500                                 fields.
                        PAPERS
                                                            All conferences are organized by current practitioners of the art, Conference Chairs, working
                                                            together with organizing committees that are experts in these fields. Numerous courses have
                        13                                  also been organized, which are taught by recognized experts from industry and academia.
                        COURSES                             Additional information is available from the many manufacturers' exhibits that allow tool
                                                            makers, material suppliers, and software groups to showcase new products while interacting
                                                            one-on-one with participants.
                        NETWORKING
                        EVENTS                              We welcome you for SPIE Advanced Lithography's 44th year!

                                                            2019 SYMPOSIUM CHAIRS
                        60
                    COMPANIES
                                                                          Will Conley                                     Kafai Lai
                                                                          Cymer—An ASML                                   IBM T.J. Watson
                                                                          company (USA)                                   Research Ctr.
                EXHIBITION                                                                                                (USA)
Meet top suppliers showcasing the newest products,
innovations, and cutting-edge technologies. Discuss
         specific requirements face-to-face.

     THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.     5
The world's premier lithography event - SPIE
WELCOME, AND PLENARY PRESENTATIONS

Monday 25 February 2019 · 8:00 am to 10:30 am
                                                             8:30 to 9:10 am                                                           9:10 to 9:50 am
Convention Center, Grand Ballroom 220A
                                                             The Future is Quantum                                                     3D NAND Flash Technology: Roadmap,
                                                                                                                                       Process, Design and Challenges
                                                                               Dario Gil
8:00 am to 8:30 am                                                                                                                                      Jeongdong Choe
                                                                               Chief Operating Officer, IBM Research (USA)
Welcome and Announcements                                                      Some of the most important technical advances
                                                                                                                                                        Senior Technical Fellow, TechInsights (Canada)
Symposium Chairs: Will Conley, Cymer-An ASML Company (USA)                     of the 20th century were enabled by decades                               Due to many of technical barriers and issues on
and Kafai Lai, IBM T. J. Watson Research Ctr. (USA)                            of fundamental scientific exploration, whose                              manufacturing 2D NAND Flash devices such as
                                                                               initial purpose was simply to extend human                                quadruple patterning technology (QPT), air-gap
· Introduction of New SPIE Fellows                                             understanding. This era marked the creation                               process, crosstalk/interference and CG/FG lean-
                                                                               and widespread usage of “classical” computers,                            ing, 3D NAND Flash architectures represent an
· Presentation of the Zernike Awards                         which represent information as bits – 0 and 1. Despite the contin-                          opportunity to overcome the limitations of pla-
                                                             ued computational advances we have experienced over the past              nar technology. Now, 3D NAND Flash technology is popular in in-
· Presentation of the Nick Cobb                              century, there are still many important and relevant problems             dustry and commercial market, and it is one of the most prominent
  Memorial Scholarship                                       that “classical” computers cannot solve.                                  integrated solution for the nonvolatile storage devices including
                                                                                                                                       SSD and high volumes of data. After Samsung mass-produced
                                                             We are witnessing rapid progress in a new computing paradigm
                                                                                                                                       their modified TCAT 3D V-NAND with 24L in 2013, Toshiba/West-
                                                             – Quantum Computing. Quantum computing takes advantage of
                                                                                                                                       ern Digital BiCS, SK Hynix P-BiCS and Micron/Intel 3D FG CuA
                                                             the laws of quantum mechanics found in nature and represents
8:30 to 10:30 am                                                                                                                       have been commercialized. Already, all the major NAND players
                                                             a fundamental change from classical information processing.
                                                                                                                                       reached up to 64L/72L and even more than 90L. Although NAND
                                                             Two properties of quantum behavior – superposition and en-
Plenary Presentations                                        tanglement – may allow quantum computers to one day address
                                                                                                                                       players are now free from QPT, 3D NAND technology challenges
                                                                                                                                       for further scaling down on 3D NAND process integration such
                                                             problems intractable for today’s conventional classical machines.
                                                                                                                                       as very high aspect ratio channel and source contact formation,
Plenary presentations                                        During this talk, I will give an overview of quantum computing,           charge leakage from trap layer, forming staircase/word line pad
sponsored by                                                 what it means for the future of technology, and how we can                connection and multi-stack cell architecture are still on the list
                                                             separate hype from reality.                                               of their technology barriers. Different cell layouts/design, masks,
                                                             Dario Gil is the Chief Operating Officer of IBM Research, a global        patterning (Photolithography and Etching), process integration/
                                                             organization with over 3,000 researchers across 13 laboratories           sequence and materials have been used for each 3D NAND ar-
                                                             and 21 locations devoted to advancing the frontiers of information        chitecture. The general scaling trend for every 3D NAND Flash
                                                             technology. As COO, Dr. Gil is responsible for guiding the strategic      technology is to increase the number of integrated layers. How
                                                             agenda of IBM Research, defining IBM’s annual Global Technol-             to successfully reach out the process integration for the next 3D
                                                             ogy Outlook, driving operations and financial plan execution, and         NAND generation? We’ll review recent progress on 3D NAND cell
                                                             establishing partnerships with companies and universities world-          architecture from major 3D NAND players. Future challenges/
                                                             wide. As the Vice President of AI and Quantum Computing, Dr.              direction and prospection will be discussed as well.
                                                             Gil is also responsible for IBM’s global research efforts in artificial   Jeongdong Choe has a Ph.D. in electronic engineering and 26+
                                                             intelligence and for IBM's quantum computing program. He co-              years’ experience in semiconductor process integration for DRAM,
                                                             chairs the MIT-IBM Watson AI Lab along with Professor Anantha             (V) NAND, SRAM and logic devices. Dr. Choe’s background in-
                                                             Chandrakasan, Dean of the MIT School of Engineering. Dr. Gil’s            cludes positions as a Team Lead in R&D for SK-Hynix and Samsung,
                                                             research results have appeared in over 20 international journals          where he optimized process and device architectures with state-
                                                             and conferences and he is the author of numerous patents. Dr.             of-the-art technologies for mass production. He received a Ph.
                                                             Gil is an elected member of the IBM Academy of Technology.                D., Electronics (Semiconductor) at Sungkyunkwan Univ.; a Master
                                                             He received his Ph.D. in Electrical Engineering and Computer              Degree, Materials Engineering (Metallurgical) at Yonsei Univ.;
                                                             Science from MIT.                                                         a Bachelor’s Degree, Metallurgical Engineering at Yonsei Univ.

6                                      SPIE ADVANCED LITHOGRAPHY 2019           ·   spie.org/al19program ·         #SPIELitho ·
The world's premier lithography event - SPIE
WELCOME, AND PLENARY PRESENTATIONS

                                                                    results in reliability concerns. In order to circumvent this limitation   Bart van Schravendijk is currently Chief Technical Officer, Di-
9:50 to 10:30 am                                                    Toshiba proposed a bit cost scaling architecture with the memory          electrics at Lam Research Corporation, Fremont, CA, USA. At
                                                                    devices stacked on each other, what we now call 3D NAND. First            Lam, he is focused on emerging technologies in the dielectric
Patterning Process Innovation in 3D                                 demonstrated by Toshiba at VLSI 2007, it wasn’t until 2013 when           deposition area. In recent years these have found their applica-
NAND                                                                the first chips were commercially available from Samsung.                 tion in VNAND, MRAM and Phase Change memories. He has 30+
                                                                    The revolutionary 3D NAND device drives greater process and               years of experience in wafer fabrication equipment development,
                 Steven Steen                                       integration complexity than any device in the past. Node transi-          process technology and process integration. He has authored over
                 Director, Product Management-3D Memory             tions now target a layer increase above lateral scaling and up to         95 patents and numerous publications.
                 Software, ASML (Netherlands)                       96 layers of devices are currently being produced. The devices            Michael Kubis holds a PhD in physics. He worked 5 years in ma-
                                                                    in the entire stack are patterned in a single pass using a high as-       terial science research before joining Semiconductor Industry
                                                                    pect ratio etch and subsequent gate stack & channel multi-layer           in 2001. He worked as Process Engineer and Senior Manager in
                                                                    deposition. We will discuss how many of the process parameters            Deep-Trench technology DRAM R&D and HVM until joining ASML
                                                                    impact the formation of yielding devices. Variations in the layer         in 2010 were he became System Engineer for on-product overlay
                 Bart van Schravendijk                              stack uniformity, stress management, alignment, imaging, hard             applications. He is now Senior Manager of the System Engineering
                                                                    mask transfer & the high aspect ratio etches all require careful          Patterning Team at ASML.
                 Chief Technology Officer, Dielectrics, Lam         attention and in certain cases new capabilities for optimal results.
                 Research Corp. (USA)                                                                                                         Jan Willem Cromwijk is Product System Engineer for 3D Memory
                                                                    Different integration approaches with multi-tier approaches split         Solutions in ASML. He studied Mechanical Engineering at Univer-
                                                                    the layers to reduce patterning cost and complexity.                      sity of Twente, and graduated in the department of Fluid Dynamics
                                                                    Formation of the contacts to every device layer is a second aspect        and Heat Transfer on Modelling of Cavitation and Two-phase flows.
                                                                    of the 3D revolution. This area has undergone a transformation            After a year at Cambridge University, Department of Applied
                                                                    through the holistic optimization of the patterning processes.            Mathematics and Theoretical Physics, he started at TNO, the
                                                                    We will discuss how staircase patterning has evolved from linear          Netherlands Organization for Applied Scientific Research. In
                 Micheal Kubis                                      single step staircases to highly efficient binary staircases to save      1997 he joined Philips, in several positions within Research and
                 Senior Management System Engineer, ASML            on cost, cycle time and yields. The patterning doesn’t require high       Development of Philips Innovations Services and Philips Light-
                 (Netherlands)                                      end lithography and proven technology can be used if key chal-            ing. In 2007 he joined ASML, as System Engineer for Immersion,
                                                                    lenges are met such as edge placement error, thick resist imaging         NXE 3100, in the EUV Source program, and since 2016 in the 3D
                                                                    in combination with resist and etch contributions.                        Memory Solutions program.
                                                                    The process innovations in 3D NAND are continuing to drive down           Hans Kattouw studied Applied Physics at the University of Twente
                                                                    cost, improve yields and result in an ever improving bit cost.            in the department of Low Temperatures and Superconductivity.
                Jan Willem Cromwijk                                 Creativity and perseverance will continue to drive Moore’s Law.           After working as an IT consultant, he joined ASML in 2000 where
                Product System Engineer, ASML (Netherlands)         Steven Steen is director of Product Management at ASML. In                he has held several positions within Development & Engineer-
                                                                    this role he is responsible for the 3D Memory product portfolio           ing, Customer Service, Product Management and now System
                                                                    at ASML. He studied at the Hogeschool Enschede and started                Engineering. He has worked on the development of immersion
                                                                    his career at IBM’s T.J. Watson Research Center during the final          lithography, and has extensive knowledge on focus, overlay and
                                                                    stages of his education.                                                  3D NAND process and device technology. In his free time, he plays
                                                                    Leading edge innovation is the consistent thread during his 20            electric guitar and climbs mountains.
                                                                    years’ experience in semiconductor R&D (of which 15 in lithog-
                Hans Kattouw
                                                                    raphy). Steven joined IBM in 1997 to develop and commercialize            Coffee Break 10:30 am to 11:00 am
                System Engineer for 3D NAND device and ap-          full chip timing diagnostics through Picosecond Imaging Circuit
                plications, ASML (Netherlands)                      Analysis. In 2001, Steven joined the microelectronics research line
                                                                    and started his career in lithography there. During a wide variety
                                                                    of roles he worked to realize numerous device technologies and
                                                                    business opportunities. He moved to the Netherlands and joined
                                                                    ASML in 2012 to lead the definition and development of innova-
NAND Flash has grown from an infant technology to a crucial         tions and unique product offerings to ASML’s customers. Holder
building block of modern appliances. Introduced in 1989 [XX], the   of over 22 US Patents and 35 published research papers, Steven
technology has enabled ever-increasing storage capacity through     continues to think of new applications and the challenges of the
scaling the underlying device structures. The end of scaling was    future. Outside of work he is often found near the water for sail-
reached with too few electrons defining the memory state which      ing, swimming or other forms of water sports.

              THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.                                            7
The world's premier lithography event - SPIE
SPECIAL EVENTS

Technical Events                                                        Symposium-wide Panel Discussion
                                                                                                                                            Social Networking Events
Nanotechnology in Microlithography                                      Tuesday 26 February 2019 · 6:00 to 8:00 pm                          SPIE Fellow Member and
Panel on Intersecting the Quantum                                       Location: Convention Center, Grand Ballroom                         Student Luncheon
Future and the Semiconductor Industry                                   220A                                                                Monday 25 February 2019 · 12:30 to 1:30 pm
Monday 25 February 2019 · 7:10 to 8:40 pm                               Lithography Scaling: Is This the End?                               Location: Marriott, Salon I
Location: Convention Center, Grand Ballroom                             Moderators: Harry J. Levinson, HJL Lithography (USA);               Student conference attendees and SPIE Fellow Members are
220C                                                                    Chris A. Mack, Fractilla (USA)                                      invited to this engaging networking lunch. This event gives stu-
Moderators: Bryan M. Barnes and R. Joseph Kline, NIST (USA)             Lithography scaling has been the main driver of Moore’s             dents an opportunity to network with SPIE Fellows who will share
                                                                        Law for many decades, but lately scaling has slowed. The            their insights into career paths in lithography. Lunch is com-
Quantum computing has been a long-anticipated emerging                                                                                      plimentary. Fellow Members will receive a personal invitation,
computational paradigm to complement and compete with                   difficulties of extending 193-nm immersion multiple pat-
                                                                        terning, the lack of manufacturing readiness of EUV, and            student seating is available on a first-come, first-served basis.
conventional CMOS technologies. The last decade has featured
reports of the initial development of using CMOS processing             difficulties in scaling the transistor gate pitch means that
techniques for qubits and the atomistic fabrication of single atom      semiconductor manufacturers have looked elsewhere for
transistors. Will the semiconductor industry embrace this new           so-called "scaling boosters": new materials, new transis-             Welcome Reception
architype, and if so, how? When quantum devices begin to scale          tor architectures, vertical integration, and new design
                                                                        approaches that can increase density without lithography              Monday 25 February 2019 · 6:00 to 7:00 pm
as predicted, will our industry be ready to integrate radically
different architectures and device structures? Are there known          scaling. Moreover, stochastics could potentially limit the            Location: Convention Center, Ballroom Con-
obstacles not yet addressed that would enable the industry to           yield of all lithography-scaled features at some dimension.           course
more readily adopt and benefit from today’s achievements in the         Is this the end of scaling? If so, what will come next? This
                                                                        cross-symposium panel will bring in experts from every                Join your colleagues at the Welcome Reception. Relax,
laboratory? What are the emerging designs and the potential
                                                                        conference to discuss these and other critical issues af-             socialize, and enjoy beverages and hors-d'oeuvres on the
fundamental challenges that are to be overcome? We have as-
                                                                        fecting the future of our community.                                  first night of the conference. All attendees welcome.
sembled a panel of experts that will share their insights on the
state-of-the-art in quantum computing as well as intellectual                                                                                 Beverage tickets are included with your paid conference
leaders that will share their vision of the eventual merger of                                                                                registration. Please remember to wear your registration
these new technologies with our computing capabilities today,                                                                                 badge. Dress is casual.
even as lithography is approaching the near-atomic domain.
Join us as we discuss the impending critical impact of quantum
                                                                     Poster Session
computing on the semiconductor industry.                             Wednesday 27 February 2019 · 5:30 to 7:30 pm
                                                                     Location: Convention Center, Hall 2                                    Women in Optics Networking Lunch
                                                                     Posters will be on display from 10:00 am to 5:00 pm on Wednes-         Wednesday 27 February 2019 · 12:00 to 1:00 pm
                                                                     day, and from 5:30 pm to 7:30 pm during the poster session.
                                                                                                                                            Location: Convention Center, Room 114
                                                                     Come to view the high quality papers that are presented in this
                                                                     alternative format and interact with the poster authors who will       Join other women in the field for informal discussions and net-
                                                                     be present during the poster session. Enjoy light refreshments         working during the scheduled lunch on Wednesday. Welcome
                                                                     while networking with your colleagues.                                 and opening remarks by Dr. Emily Gallagher.
                                                                     Full author or technical registration is required for entry into the                      Emily Gallagher is a Principal Member of
                                                                     poster session. Please wear your registration badge.                                      Technical Staff at IMEC, focusing on pellicle
                                                                     Sponsored by                                                                              membrane development, EUV imaging and
                                                                                                                                                               photomasks. Emily received her PhD in physics
                                                                                                                                                               studying free electron lasers before shifting
                                                                                                                                                               to the semiconductor industry. She worked at
                                                                                                                                                               IBM Microelectronics, working in wafer pro-
                                                                                                                                                               cess, device characterization, and lithography
                                                                                                                                                               before leading EUV mask development. She
                                                                                                                                            has authored over 80 technical papers, holds ~20 patents, and
                                                                                                                                            is an SPIE Fellow.

8                                             SPIE ADVANCED LITHOGRAPHY 2019           ·   spie.org/al19program ·        #SPIELitho ·
AWARD ANNOUNCEMENTS

Nick Cobb Memorial Scholarship                          Advances in Patterning Materials and                      Optical Microlithography (10961)
Monday 25 February 2019 • 8:00 to 8:30 am               Processing Technology (10960)
                                                        Monday 25 February 2019 • 2:00 to 2:20 pm                 Presentation of the 2019 Cymer
Sponsored by
                                                                                                                  Leadership for Best Student Paper Award
                                                        Presentation of the 2018 C. Grant Willson                 Award Sponsored by
                                                        Award for Best Paper
                                                        and
                                                        Presentation of the 2018 Hiroshi Ito
Metrology, Inspection, and Process                      Memorial Award for the Best Student
Control for Microlithography (10959)                    Paper
Monday 25 February 2019 • 11:00 to 11:15 am
                                                        These Awards Sponsored by

Presentation of the 2018 Diana Nyyssonen
Memorial Award for Best Paper in
Metrology
                                                        Presentation of the 2018 Jeffrey Byers
Award Sponsored by                                      Memorial Best Poster Award
                                                        Award Sponsored by

                                                                      TM

Thursday 28 February 2019 • 10:20 to 10:30 am

Presentation of the 2019 Karel Urbanek
Best Student Paper Award

Award Sponsored by
                                                          PLAN YOUR WEEK
                                                          GET THE FREE SPIE CONFERENCE
                                                          AND EXHIBITION APP
                                                          Find the best networking and information-gathering opportunities
                                                          with this powerful planning tool. Schedule your time in the confer-
                                                          ences…navigate the exhibition floor…make new connections.
                                                                                                                                                     COURTESY OF

                                                          Available for iOS and Android. Search: SPIE Conferences.

         THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.       9
Thanks to the following sponsors for their generous
     support of SPIE Advanced Lithography

                                                                                                TM

10                 SPIE ADVANCED LITHOGRAPHY 2019   ·   spie.org/al19program ·   #SPIELitho ·
EXHIBITION
Be part of
the Exhibition
Join the industry’s most important
exhibition for lithography research
and development, devices, tools,
fabrication, and services.

TECHNOLOGIES                                               Join these companies
- Etch technology for nanoprinting                         Abeam Technologies, Inc.
                                                           Amuneal Manufacturing Corp.
- Lithography: immersion, double
  patterning, e-beam, EUV, optical/laser,                  attocube systems Inc.
  and RET                                                  Benchmark Technologies
                                                           C&D Semiconductor Services, Inc.
- Metrology, inspection, OPC, and
  process control                                          Cadence Design Systems, Inc.
                                                           Canon U.S.A. Inc.
- Design and manufacturing software
                                                           Carl Zeiss SMT GmbH
- Materials and chemicals                                  Cosmotec, Inc.
- Imaging equipment                                        Coventor, Inc.
- Lasers                                                   Energetiq Technology, Inc.
                                                           Entegris, Inc.
- Resist materials and processing
                                                           GenISys Inc
- Nano-imprint                                                                                    LouwersHanique                       Raith America, Inc.
                                                           GUDENG PRECISION INDUSTRIAL
- IC and chip fabrication                                   CO., LTD.                             Mentor Graphics Corporation          Rigaku Innovative Technologies, Inc.
- Nanoscale imaging                                        Heidelberg Instruments Inc.            Micro Lithography, Inc.              SCREEN SPE USA, LLC
                                                           INKO Industrial Corp.                  MicroChem Corp.                      Shin-Etsu MicroSi, Inc.
                                                           Inspectrology LLC                      Pall Corp.                           Solid State Technology
Tuesday 26 February 2019                                   J.A. Woollam                           PCAS Canada                          Synopsys, Inc.
10:00 am to 5:00 pm                                        JENOPTIK Optical Systems, LLC          Philips Innovation Services          Tokyo Electron America, Inc.
Wednesday 27 February 2019                                 JEOL USA Inc.                          Philips SCIL Nanoimprint Solutions   Vistec Electron Beam GmbH
10:00 am to 4:00 pm                                        JSR Micro, Inc.                        Pozzetta, Inc.                       XEI Scientific, Inc.
San Jose Convention Center Hall 1                          King Industries Inc.                   Qoniac GmbH                          Zygo Corporation

Contact SPIE Sales to Exhibit: Tel: +1 360 676 3290 · Fax: +1 360 647 1445 · SPIESales@spie.org

        THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.              11
SESSION SCHEDULE
               CONFERENCE 10957                                            CONFERENCE 10958                                   CONFERENCE 10959
               Extreme Ultraviolet (EUV)                                   Novel Patterning Technologies for                  Metrology, Inspection, and Process
               Lithography X                                               Semiconductors, MEMS/NEMS and                      Control for Microlithography XXXIII
                                                                           MOEMS 2019
 Monday
 25 February    Session 1 · Mon 11:00 am to 12:20 pm
                Keynote Session                                                                                                Opening Remarks and Award
                                                                                                                               Announcement · 11:00 am to 11:15 am
                                                                                                                               Session 1 · Mon 11:15 am to 12:25 pm
                                                                                                                               Keynote Session
                Session 2 · Mon 2:00 pm to 3:20 pm                                                                                   Session 2 · Mon 1:30 pm to 3:10 pm
                The Future is High NA                                                                                                Overlay News
                Session 3 · Mon 3:50 pm to 5:30 pm                          Opening Remarks · 3:30 pm to 3:50 pm               Session 3 · Mon 3:40 pm to 5:20 pm
                Inorganic Resists: Joint session with conferences 10960                                                        Challenges and New Methods
                and 10957                                                   Session 1 · Mon 3:50 pm to 5:10 pm
                                                                            Keynote Session

 Tuesday              Session 4 · Tue 8:00 am to 10:00 am                   Session 2 · Tue 8:00 am to 10:00 am                Session 4 · Tue 8:00 am to 10:00 am
 26 February          Stochastics and Exposure Mechanisms: Joint session    MEMS/NEMS and MOEMS I                              Inspection I
                with conferences 10960 and 10957
                                                                            Session 3 · Tue 10:30 am to 12:10 pm               Session 5 · Tue 10:30 am to 12:10 pm
                     Session 5 · Tue 10:30 am to 11:50 am                   MEMS/NEMS/and MOEMS II                             Advances in Physical Characterization
                     Order from Chaos: Stochastic Modeling

                                                                                  Session 4 · Tue 1:40 pm to 3:20 pm           Session 6 · Tue 1:30 pm to 3:10 pm
                EUV Poster Preview Speed Talks I · 11:50 am to 12:10 pm                                                        LWR
                                                                                  Nanoimprint Lithography I: Technology
                Session 6 · Tue 1:40 pm to 3:20 pm                                                                             Session 7 · Tue 3:40 pm to 5:20 pm
                                                                            Session 5 · Tue 3:50 pm to 5:50 pm
                EUV Mask Fidelity                                                                                              New Methods: Student Session
                                                                            Nanoimprint Lithography II: Manufacturing
                Session 7 · Tue 3:50 pm to 4:50 pm
                Printing at the Edge: EUV Patterning Applications

 Wednesday      Session 8 · Wed 8:00 am to 10:00 am                         Session 6 · Wed 8:00 a m to 10:00 am                    Session 8 · Wed 8:00 am to 10:00 am
 27 February    EUV Patterning and Etch:Joint session with conferences      Multi-beam Lithography: Invited Session                 Machine Learning
                10957 and 10963
                                                                            Session 7 · Wed 10:30 am to 12:10 pm               Session 9 · Wed 10:30 am to 12:10 pm
                Session 9 · Wed 10:30 am to 12:10 pm                        Directed Self-assembly I: Joint session with       SEM
                EUV Masks, Defects, and Pellicles                           conferences 10960 and 10958
                Session 10 · Wed 1:40 pm to 3:00 pm
                EUV Imaging Enhancement I                                                                                      Session 10 · Wed 1:30 pm to 3:10 pm
                                                                            Session 8 · Wed 1:40 pm to 3:20 pm                 SEM and e-Beam Metrology
                EUV Poster Preview Speed Talks II · 3:00 to 3:20 pm
                                                                            Novel Patterning and Applications I
                Session 11 · Wed 3:50 pm to 4:50 pm                                                                                 Session 11 · Wed 3:40 pm to 5:20 pm
                EUV Imaging Enhancement II                                  Session 9 · Wed 3:50 pm to 4:50 pm                      Overlay
                                                                            3-D Printing and Structures: Invited Session
                EUV Poster Preview Speed Talks III · 4:50 to 5:10 pm

 Thursday       Session 12 · Thu 8:00 am to 10:00 am                        Session 10 · Thu 8:00 am to 10:00 am               Session 12 · Thu 8:00 am to 10:00 am
 28 February    Progress in EUV Sources                                     Quantum/Neuromorphic Computing: Invited Session    Design Interactions with Metrology:Joint session with
                                                                                                                               conferences 10959 and 10962
                Session 13 · Thu 10:30 am to 12:10 pm                       Session 11 · Thu 10:30 am to 12:10 pm              2019 Karel Ubanek Best Student Paper Award
                EUV OPC and Modeling: Joint session with conferences        Novel Patterning and Applications II               Presentation · 10:20 to 10:30 am
                10957 and 10962
                                                                            Session 12 · Thu 1:30 pm to 2:30 pm                Session 13 · Thu 10:30 am to 12:10 pm
                                                                            Novel Materials/Novel Directed Self-assembly       Process Control
                                                                                                                               Session 14 · Thu 1:30 pm to 3:10 pm
                                                                                                                               Inspection II
                                                                                                                               Session 15 · Thu 3:40 pm to 5:20 pm
                                                                                                                               Optical Metrology and Late News

12                                SPIE ADVANCED LITHOGRAPHY 2019             ·   spie.org/al19program ·      #SPIELitho ·
SESSION SCHEDULE
CONFERENCE 10960                                     CONFERENCE 10961                             CONFERENCE 10962                             CONFERENCE 10963
Advances in Patterning                               Optical Microlithography                     Design-Process-Technology                    Advanced Etch Technology
Materials and Processes XXXVI                        XXXII                                        Co-optimization for                          for Nanopatterning VIII
                                                                                                  Manufacturability XIII

 Opening Remarks and Award Announcements                                                                                                        Session 1 · Mon 1:30 pm to 3:30 pm
 · 2:00 pm to 2:20 pm                                                                                                                           Keynote Session: Plasma Based Patterning
 Session 1 · Mon 2:20 pm to 3:20 pm                                                                                                             Innovations
 Keynote Session                                                                                                                                Session 2 · Mon 4:00 pm to 6:00 pm
 Session 2 · Mon 3:50 pm to 5:30 pm                                                                                                             Materials and Etch Integration
 Inorganic Resists: Joint session with conferences
 10960 and 10957

       Session 3 · Tue 8:00 am to 10:00 am            Opening Remarks · 8:00 am to 8:20 am
       Stochastics and Exposure Mechanisms:           Session 1 · Tue 8:20 am to 10:00 am
 Joint session with conferences 10960 and 10957       Keynote Session
 Session 4 · Tue 10:30 am to 12:10 pm                      Session 2 · Tue 10:30 am to 12:10 pm
 EUV Resists                                               Machine Learning and Computational
                                                      Lithography I                                                                             Session 3 · Tue 1:30 pm to 3:10 pm
 Session 5 · Tue 1:40 pm to 3:20 pm
                                                                                                                                                Patterning Process Control and Computational
 Resist Fundamentals                                       Session 3 · Tue 1:40 pm to 3:20 pm                                                   Patterning
 Session 6 · Tue 3:50 pm to 5:10 pm                        Machine Learning and Computational
                                                      Lithography II                                                                            Session 4 · Tue 3:40 pm to 5:40 pm
 Integration
                                                                                                                                                Atomic Layer Etching and Novel Plasma
                                                      Session 4 · Tue 3:50 pm to 5:30 pm                                                        Techniques
                                                      Resist Modeling and Process Control

 Session 7 · Wed 8:10 am to 10:00 am                  Session 5 · Wed 8:00 am to 9:40 am           Session 1 · Wed 8:00 am to 10:00 am          Session 5 · Wed 8:00 am to 10:00 am
 Monolayer Materials in Device Fabrication            Lithography Equipment                        Design-Technology Co-optimization            EUV Patterning and Etch: Joint session with
                                                                                                                                                conferences 10957 and 10963
 Session 8 · Wed 10:30 am to 12:10 pm                 Closing Remarks · 9:40 am to 10:00 am        Session 2 · Wed 10:30 am to 12:10 pm
 Directed Self-assembly I: Joint session with                                                      Layout Analytics                             Session 6 · Wed 10:30 am to 12:10 pm
 conferences 10960 and 10958                                                                                                                    Patterning Solutions for Emerging
                                                                                                                                                Applications
 Session 9 · Wed 1:40 pm to 3:20 pm
 Directed Self-assembly II: Defectivity                                                                Session 3 · Wed 1:40 pm to 3:20 pm       Session 7 · Wed 1:40 pm to 3:20 pm
                                                                                                       Machine Learning                         Advanced Patterning Integration
 Session 10 · Wed 3:50 pm to 4:50 pm
 Student Session                                                                                   Session 4 · Wed 3:50 pm to 5:30 pm           Session 8 · Wed 3:50 pm to 5:10 pm
                                                                                                   Process Modeling and Layout Optimization     Patterning Solutions for Emerging
 Poster Preview Speed Talks · 4:50 to 5:20 pm                                                                                                   Applications II

 Session 11 · Thu 8:00 am to 10:00 am                                                                                                            APPLICATION TRACKS
 Material Supplier                                                                                 Session 5 · Thu 8:00 am to 10:00 am           Easily find sessions on these three important
 Session 12 · Thu 10:30 am to 12:10 pm                                                             Design Interactions with Metrology: Joint     topics within the program. Each conference has
 Underlayers                                                                                       session with conferences 10959 and 10962      grouped the applicable presentations together
 Tribute to C. Grant Willson (retiring in 2019)                                                    Session 6 · Thu 10:30 am to 12:10 pm          and do not overlap with other conferences.
 · 1:40 pm to 5:00 pm                                                                              EUV OPC and Modeling: Joint session with           • Machine Learning
                                                                                                   conferences 10957 and 10962
                                                                                                   Session 7 · Thu 1:30 pm to 3:30 pm                 • Stochastics
                                                                                                   Hotspot Detection
                                                                                                                                                      • Overlay

             THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.                                  13
CONFERENCE 10957                                     CONFERENCE 10958                                  CONFERENCE 10959                                   CONFERENCE 10960
Monday–Thursday                                      Monday–Thursday                                   Monday–Thursday                                    Monday–Thursday
25–28 February 2019                                  25–28 February 2019                               25–28 February 2019                                25–28 February 2019
Proceedings of SPIE Vol. 10957                       Proceedings of SPIE Vol. 10958                    Proceedings of SPIE Vol. 10959                     Proceedings of SPIE Vol. 10960

Extreme Ultraviolet                                  Novel Patterning                                  Metrology,                                         Advances in
(EUV) Lithography X                                  Technologies for                                  Inspection, and                                    Patterning Materials
Conference Chair: Kenneth A. Goldberg,
Lawrence Berkeley National Lab. (USA)
                                                     Semiconductors,                                   Process Control for                                and Processes XXXVI
Conference Co-Chair: Nelson M. Felix, IBM            MEMS/NEMS and                                     Microlithography                                   Conference Chair: Roel Gronheid, KLA-Tencor/
                                                                                                                                                          ICOS Belgium (Belgium)
Corp. (USA)
Program Committee: Jos P. Benschop, ASML
                                                     MOEMS 2019                                        XXXIII                                             Conference Co-Chair: Daniel P. Sanders, IBM
                                                                                                                                                          Research - Almaden (USA)
Netherlands B.V. (Netherlands); Robert L.            Conference Chair: Martha I. Sanchez, IBM          Conference Chair: Vladimir A. Ukraintsev,
Brainard, SUNY CNSE/SUNYIT (USA); Martin             Research - Almaden (USA)                          Qorvo Corp. (USA)                                  Program Committee: Robert Allen, IBM
Burkhardt, IBM Thomas J. Watson Research                                                                                                                  Research - Almaden (USA); Gilles R. Amblard,
                                                     Conference Co-Chair: Eric M. Panning, Intel       Conference Co-Chair: Ofer Adan, Applied
Ctr. (USA); Sonia Castellanos Ortega, Advanced                                                                                                            SAMSUNG Austin Semiconductor LLC (USA);
                                                     Corp. (USA)                                       Materials (Israel)
Research Ctr. for Nanolithography (Netherlands);                                                                                                          Ramakrishnan Ayothi, JSR Micro, Inc. (USA);
Xuemei Chen, GLOBALFOUNDRIES Inc. (USA);             Program Committee: Alan D. Brodie, KLA-           Program Committee: John A. Allgair, BRIDG          Ryan Callahan, FUJIFILM Electronic Materials
Deniz Elizabeth Civay, GLOBALFOUNDRIES               Tencor Corp. (USA); Juan J. de Pablo, The         (USA); Masafumi Asano, Tokyo Electron              U.S.A., Inc. (USA); Joy Y. Cheng, Taiwan
Inc. (USA); Daniel Corliss, IBM Corp.                Univ. of Chicago (USA); Richard A. Farrell, TEL   Ltd. (Japan); Benjamin D. Bunday, Abeam            Semiconductor Manufacturing Co. Ltd. (Taiwan);
(USA); Yasin Ekinci, Paul Scherrer Institut          Technology Ctr., America, LLC (USA); Naoya        Technologies, Inc. (USA); Jason P. Cain,           Ralph R. Dammel, EMD Performance Materials
(Switzerland); Andreas Erdmann, Fraunhofer-          Hayashi, Dai Nippon Printing Co., Ltd. (Japan);   Advanced Micro Devices, Inc. (USA); Xiaomeng       Corp. (USA); Anuja De Silva, IBM Corp. (USA);
Institut für Integrierte Systeme und                 Tatsuhiko Higashiki, Toshiba Corp. (Japan);       Chen, Taiwan Semiconductor Manufacturing           Danilo De Simone, IMEC (Belgium); Douglas
Bauelementetechnologie IISB (Germany); Allen H.      Erik R. Hosler, GLOBALFOUNDRIES Inc.              Co. Ltd. (Taiwan); Hugo Cramer, ASML               Guerrero, Brewer Science, Inc. (USA); Clifford
Gabor, GLOBALFOUNDRIES Inc. (USA); Emily             (USA); J. Alexander Liddle, National Institute    Netherlands B.V. (Netherlands); Timothy            L. Henderson, Univ. of South Florida (USA);
E. Gallagher, IMEC (Belgium); Florian Gstrein,       of Standards and Technology (USA); Chi-           F. Crimmins, Intel Corp. (USA); Shunsuke           Craig D. Higgins, GLOBALFOUNDRIES Inc.
Intel Corp. (USA); Naoya Hayashi, Dai Nippon         Chun Liu, IBM Corp. (USA); Hans Loeschner,        Koshihara, Hitachi High-Technologies Corp.         (USA); Christoph K. Hohle, Fraunhofer-Institut
Printing Co., Ltd. (Japan); Eric Hendrickx, IMEC     IMS Nanofabrication GmbH (Austria); John          (Japan); Yi-Sha Ku, Industrial Technology          für Photonische Mikrosysteme (Germany);
(Belgium); Soichi Inoue, Toshiba Corp. (Japan);      G. Maltabes, Applied Materials GmbH & Co.         Research Institute (Taiwan); Byoung-Ho Lee,        Scott W. Jessen, Texas Instruments Inc. (USA);
Bryan S. Kasprowicz, Photronics, Inc. (USA);         KG (USA); Laurent Pain, CEA-LETI (France);        SK hynix, Inc. (Korea, Republic of); Philippe      Yoshio Kawai, Shin-Etsu Chemical Co., Ltd.
Insung Kim, SAMSUNG Electronics Co., Ltd.            Ivo W. Rangelow, Technische Univ. Ilmenau         Leray, IMEC (Belgium); Narender Rana,              (Japan); Qinghuang Lin, ASML US, Inc. (USA);
(Korea, Republic of); Seong-Sue Kim, SAMSUNG         (Germany); Douglas J. Resnick, Canon              Western Digital Corp. (USA); Christopher J.        Nobuyuki N. Matsuzawa, Panasonic Corp.
Electronics Co., Ltd. (Korea, Republic of); Toshio   Nanotechnologies, Inc. (USA); Ricardo Ruiz,       Raymond, Nanometrics Inc. (USA); John C.           (Japan); Steve S. Putna, Intel Corp. (USA);
Konishi, Toppan Printing Co., Ltd. (Japan); Ted      HGST (USA); Chandrasekhar Sarma, Intel            Robinson, KLA-Tencor Corp. (USA); Martha           Mark H. Somervell, Tokyo Electron America,
Liang, Intel Corp. (USA); Chang-Moon Lim, SK         Corp. (USA); Ines A. Stolberg, Vistec Electron    I. Sanchez, IBM Research - Almaden (USA);          Inc. (USA); Jason K. Stowers, Inpria (USA);
Hynix, Inc. (Korea, Republic of); Anna Lio, Intel    Beam GmbH (Germany); Hsinyu Tsai, IBM             Matthew J. Sendelbach, Nova Measuring              James W. Thackeray, Dow Electronic Materials
Corp. (USA); Luciana Meli, IBM Corp. (USA);          Thomas J. Watson Research Ctr. (USA);             Instruments Inc. (USA); Richard Silver, National   (USA); Raluca Tiron, CEA-LETI (France);
Lawrence S. Melvin III, Synopsys, Inc. (USA);        Kevin T. Turner, Univ. of Pennsylvania (USA);     Institute of Standards and Technology (USA);       Rick Uchida, Tokyo Ohka Kogyo America,
Hiroaki Morimoto, Toppan Printing Co., Ltd.          Marco J. Wieland, MAPPER Lithography              Eric Solecky, GLOBALFOUNDRIES Inc. (USA);          Inc. (USA); Thomas I. Wallow, ASML Brion
(Japan); Patrick P. Naulleau, Lawrence Berkeley      (Netherlands); Wei Wu, The Univ. of Southern      Alexander Starikov, I&I Consulting (USA); Alok     Technologies (USA)
National Lab. (USA); Christopher S. Ngai,            California (USA)                                  Vaid, GLOBALFOUNDRIES Inc. (USA)
Applied Materials, Inc. (USA); Shinji Okazaki,
ALITECS Co., Ltd. (Japan); Eric M. Panning, Intel                                                      Conference Sponsor
Corp. (USA); Moshe E. Preil, KLA-Tencor Corp.
(USA); Kurt G. Ronse, IMEC (Belgium); Akiyoshi
Suzuki, Gigaphoton Inc. (Japan); Thomas I.
Wallow, ASML Brion (USA); Obert R. Wood II,
GLOBALFOUNDRIES Inc. (USA)

Conference Sponsor

 14                                         SPIE ADVANCED LITHOGRAPHY 2019           ·   spie.org/al19program ·   #SPIELitho ·
CONFERENCE 10961                                CONFERENCE 10962                                 CONFERENCE 10963
Tuesday–Wednesday                               Wednesday–Thursday                               Monday–Tuesday
26–27 February 2019                             27–28 February 2019                              25–26 February 2019
Proceedings of SPIE Vol. 10961                  Proceedings of SPIE Vol. 10962                   Proceedings of SPIE Vol. 10963

Optical                                         Design-Process-        Advanced Etch
Microlithography                                Technology Co-         Technology for
XXXII                                           optimization for       Nanopatterning VIII
Conference Chair: Jongwook Kye, SAMSUNG         Manufacturability XIII Conference Chair: Richard S. Wise, Lam
Electronics Co., Ltd. (Korea, Republic of)                                                       Research Corp. (USA)
                                                Conference Chair: Jason P. Cain, Advanced
Conference Co-Chair: Soichi Owa, Nikon          Micro Devices, Inc. (USA)                        Conference Co-Chair: Catherine B. Labelle,
Corp. (Japan)                                                                                    Consultant (USA)
                                                Conference Co-Chair: Chi-Min Yuan, NXP
Program Committee: Will Conley, Cymer,          Semiconductors (USA)                             Program Committee: Efrain Altamirano-
An ASML company (USA); Carlos Fonseca,                                                           Sánchez, IMEC (Belgium); Julie Bannister,
                                                Program Committee: Robert Aitken, ARM Inc.
Tokyo Electron America, Inc. (USA); Bernd                                                        Tokyo Electron America, Inc. (USA); Maxime
                                                (USA); Luigi Capodieci, KnotPrime Inc. (USA);
Geh, Carl Zeiss SMT GmbH (USA); Yuri                                                             Darnon, LN2 CNRS (Canada); Sebastian U.
                                                Lifu Chang, Qualcomm Inc. (USA); Ryoung-
Granik, Mentor Graphics Corp. (USA); Harsha                                                      Engelmann, IBM Thomas J. Watson Research
                                                Han Kim, IMEC (Belgium); Neal V. Lafferty,
Grunes, Intel Corp. (USA); Young Seog Kang,                                                      Ctr. (USA); Eric A. Hudson, Lam Research Corp.
                                                Mentor Graphics Corp. (USA); Ya-Chieh Lai,
SAMSUNG Electronics Co., Ltd. (Korea,                                                            (USA); Kaushik A. Kumar, Tokyo Electron Ltd.
                                                Cadence Design Systems, Inc. (USA); Lars
Republic of); Sachiko Kobayashi, Toshiba                                                         (Japan); Qinghuang Lin, IBM Thomas J. Watson
                                                W. Liebmann, GLOBALFOUNDRIES Inc.
Corp. (Japan); Kafai Lai, IBM Corp. (USA);                                                       Research Ctr. (USA); Ru-Gun Liu, Taiwan
                                                (USA); Ru-Gun Liu, Taiwan Semiconductor
Ken-Ichiro Mori, Canon Inc. (Japan); John                                                        Semiconductor Manufacturing Co. Ltd. (Taiwan);
                                                Manufacturing Co. Ltd. (Taiwan); Kevin Lucas,
S. Petersen, Periodic Structures, Inc. (USA);                                                    Nihar Mohanty, Oculus VR, LLC (USA); Jake
                                                Synopsys, Inc. (USA); Andrew R. Neureuther,
Mark C. Phillips, Intel Corp. (USA); Daniel                                                      O'Gorman, Hitachi High Technologies America,
                                                Univ. of California, Berkeley (USA); Shigeki
Sarlette, Infineon Technologies Dresden                                                          Inc. (USA); Erwine Pargon, CNRS/LTM (France);
                                                Nojima, Toshiba Corp. (Japan); David Z. Pan,
(Germany); Xuelong Shi, Semiconductor                                                            Nicolas Posseme, CEA-LETI (France); Ricardo
                                                The Univ. of Texas at Austin (USA); Chul-
Manufacturing International Corp. (China);                                                       Ruiz, HGST (USA); Yuyang Sun, Mentor
                                                Hong Park, SAMSUNG Electronics Co., Ltd.
Bruce W. Smith, Rochester Institute of                                                           Graphics Corp. (USA); Anthony Yen, ASML US,
                                                (Korea, Republic of); Piyush Pathak, Cadence
Technology (USA); Kunal N. Taravade,                                                             LP (USA); Ying Zhang, Applied Materials, Inc.
                                                Design Systems, Inc. (USA); Michael L. Rieger,
Synopsys, Inc. (USA); Geert Vandenberghe,                                                        (USA)
                                                Consultant (USA); Vivek K. Singh, Intel Corp.
IMEC (Belgium); Reinhard Voelkel, SUSS
                                                (USA); Lynn T. Wang, GLOBALFOUNDRIES
MicroOptics SA (Switzerland); Da Yang,                                                           Conference Sponsor
                                                Inc. (USA)
Qualcomm Inc. (USA); Uwe D. Zeitner,
Fraunhofer-Institut für Angewandte Optik und
                                                Conference Sponsor
Feinmechanik (Germany)

                                   SPEAKERS ARE NOT ABLE TO PRESENT USING THEIR OWN LAPTOP OR OTHER DEVICE
  1. Uploading your presentation in advance                                                                                      2. Preview your presentation onsite
  You are required to upload your presentation file in advance in one of two ways:                                               All presenters are strongly encouraged to visit
     ONLINE:                                                    ONSITE AT SPEAKER CHECK-IN: using your                           Speaker Check-In at least 2 hours prior to their
     http://spieuploads.com                                     USB device (at least 2 hours before session or                   presentation to preview their files through the
     (portal closes @ 12:00 PM noon Pacific time                the day before if presenting in first morning                    SPIE presentation system, or the day before if
     22 February 2019)                                          session)                                                         presenting in first morning session).

   SPIE will record the audio plus screen content of all presentations; Recordings will be published on the SPIE Digital Library with author permission only.

             THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.                    15
Monday 25 February                                                                                                                                                             Monday 25 February

                                                                            CONFERENCE 10959
CONFERENCE 10957                                                            Metrology, Inspection,                                                                          CONFERENCE 10957
Extreme Ultraviolet (EUV)                                                   and Process Control for                                                                         Extreme Ultraviolet (EUV)
Lithography X                                                               Microlithography XXXIII                                                                         Lithography X

                  SESSION 1                                                               11:00 AM TO 11:15 AM
           MON 11:00 AM TO 12:20 PM
               Keynote Session                                                  Opening Remarks and
Session Chairs: Kenneth A. Goldberg, Lawrence                                   Award Announcement
 Berkeley National Lab. (USA); Nelson M. Felix,                               Session Chairs: Vladimir A. Ukraintsev,
                IBM Corp. (USA)                                               Qorvo Corp. (USA); Ofer Adan, Applied
                                                                                    Materials Israel, Ltd. (Israel)
 EUVL: the natural evolution of optical                                     Presentation of the 2018 Diana Nyyssonen
 microlithography (Keynote Presentation), Bernd
                                                                                 Best Paper Award in Metrology
 Geh, Carl Zeiss SMT GmbH (USA). . . . . [10957-1]                                                                                                                                              SESSION 2
 EUV insertion strategy into logic technology
                                                                                             Award Sponsored by                                                                           MON 2:00 PM TO 3:20 PM
 on the horizon of scaling paradigm change
 (Keynote Presentation), Ryoung-Han R. Kim, IMEC                                                                                                                                     The Future is High NA
 (Belgium). . . . . . . . . . . . . . . . . . . . . . . . . [10957-2]                                                                                                         Session Chairs: Patrick P. Naulleau, Lawrence
                                                                                                                                                                              Berkeley National Lab. (USA); Jos P. Benschop,
Lunch Break . . . . . . . . . . . Mon 12:20 pm to 2:00 pm                                                                                                                          ASML Netherlands B.V. (Netherlands)
                                                                                            SESSION 1                                                                       High-NA EUV lithography exposure tool progress
                                                                                     MON 11:15 AM TO 12:25 PM                                                               (Invited Paper), Jan van Schoot, Eelco van Setten, Kars
                                                                                                                                                                            Troost, Frank Bornebroek, Rob van Ballegoij, Sjoerd
                                                                                        Keynote Session                                                                     Lok, Judon Stoeldraijer, Jo Finders, Hans Meiling,
                                                                         Session Chairs: Vladimir A. Ukraintsev, Qorvo                                                      ASML Netherlands B.V. (Netherlands); Paul Graeupner,
                                                                        Corp. (USA); Ofer Adan, Applied Materials Israel,                                                   Joerg Zimmermann, Peter Kuerz, Carl Zeiss SMT
                                                                                           Ltd. (Israel)                                                                    GmbH (Germany). . . . . . . . . . . . . . . . . . . . . [10957-3]
                                                                                                                                                                            Overview and status of the 0.5NA EUV microfield
                                                                          Tough road ahead for device overlay and                                                           exposure tool at the advanced light source (Invited
                                                                          edge placement error (Keynote Presentation),                                                      Paper), Christopher N. Anderson, Lawrence Berkeley
                                                                          Kaustuve Bhattacharyya, ASML Netherlands B.V.                                                     National Lab. (USA). . . . . . . . . . . . . . . . . . .  [10957-4]
                                                                          (Netherlands) . . . . . . . . . . . . . . . . . . . .  [10959-1]
                                                                                                                                                                            High-NA EUV lithography: the next step in EUV
                                                                          Silicon-based quantum computing:                                                                  imaging, Eelco van Setten, John McNamara, Jan van
                                                                          manufacturing and metrology challenges                                                            Schoot, Kars Troost, Gerardo Bottiglieri, Joseph Zekry,
                                                                          (Keynote Presentation), Richard M. Silver,                                                        Timon Fliervoet, ASML Netherlands B.V. (Netherlands);
                                                                          National Institute of Standards and Technology                                                    Stephen Hsu, ASML San Jose (USA); Joerg
                                                                          (USA) . . . . . . . . . . . . . . . . . . . . . . . . . .  [10959-2]                              Zimmermann, Jens Timo Neumann, Matthias Roesch,
                                                                                                                                                                            Paul Graeupner, Carl Zeiss SMT GmbH
                                                                        Lunch Break . . . . . . . . . . . Mon 12:25 pm to 1:30 pm                                           (Germany) . . . . . . . . . . . . . . . . . . . . . . . . . .  [10957-5]
                                                                                                                                                                            Progress in EUV resists towards high-NA EUV
                                                                                                                                                                            lithography, Xiaolong Wang, Zuhal Tasdemir, Iacopo
                                                                                                                                                                            Mochi, Paul Scherrer Institut (Switzerland); Lidia van
                                                                                                                                                                            Lent-Protasova, Marieke Meeuwissen, Rolf Custers,
                                                                                                                                                                            Gijsbert Rispens, Rik Hoefnagels, ASML Netherlands
                                                                                                                                                                            B.V. (Netherlands); Yasin Ekinci, Paul Scherrer Institut
                                                                                                                                                                            (Switzerland) . . . . . . . . . . . . . . . . . . . . . . . .  [10957-6]

16                                                               SPIE ADVANCED LITHOGRAPHY 2019                                ·    spie.org/al19program ·   #SPIELitho ·
CONFERENCE 10959                                                         CONFERENCE 10960
                                                Metrology, Inspection,                                                   Advances in Patterning                                                  CONFERENCE 10963
                                                and Process Control for                                                  Materials and Processes                                                 Advanced Etch Technology
                                                Microlithography XXXIII                                                  XXXVI                                                                   for Nanopatterning VIII

                                                        SESSION 2                                                                                                                                                 SESSION 1
                                                  MON 1:30 PM TO 3:10 PM                                Overlay                                                                                             MON 1:30 PM TO 3:30 PM

                                                               Overlay News                                                                                                                         Keynote Session: Plasma
                                                Session Chairs: Alexander Starikov, I&I                                                                                                                Based Patterning
                                               Consulting (USA); Narender Rana, Western                                                                                                                  Innovations
                                                           Digital Corp. (USA)
                                                                                                                                          2:00 PM TO 2:20 PM                                      Session Chairs: Efrain Altamirano-Sánchez,
                                           On device EPE: minimizing overlay, pattern                                                                                                              IMEC (Belgium); Keun Hee Bai, SAMSUNG
                                           placement, and pitch-walk, in presence of EUV,                                     Opening Remarks and                                                   Electronics Co., Ltd. (Korea, Republic of)
                                           and etch stochastics, Ofer Adan, Kevin Houchens,
                                           Applied Materials Ltd (Israel). . . . . . . . . . . .  [10959-3]
                                                                                                                              Award Announcements
                                                                                                                                                                                                 Patterning paradigm shift: from addition of
                                                                                                                          Session Chairs: Roel Gronheid, KLA-Tencor/                             two to the power of two (Keynote Presentation),
                                           Overlay error investigation for metal containing                               ICOS Belgium (Belgium); Daniel P. Sanders,
                                           resist (MCR), Roel Gronheid, KLA-Tencor/ ICOS                                                                                                         Mircea V. Dusa, ASML Belgium N.V.
                                                                                                                                IBM Research - Almaden (USA)                                     (Belgium). . . . . . . . . . . . . . . . . . . . . . . .  [10963-1]
                                           Belgium (Belgium); Satomi Higashibata, Toshiba
                                           Electronics Europe GmbH (Germany); Yusuke                                                                                                             Combining equipment sensors and control
                                           Tanaka, SanDisk Ltd. (Japan); Masaru Suzuki,                                   Advances in Patterning Materials                                       hardware with metrology and advanced
                                           Satoshi Nagai, Toshiba Memory Corp. (Japan);
                                           Waikin Li, Philippe Leray, IMEC (Belgium) .  [10959-4]
                                                                                                                          and Processing Technology (10960)                                      computational methods for comprehensive
                                                                                                                                                                                                 3D process control (Keynote Presentation),
                                                                                                                          Presentation of the 2018 C. Grant Willson                              David Fried, Coventor, Inc., A Lam Research Co.
                                           Process drift compensation by tunable
                                           wavelength homing in scatterometry based                                       Award for Best Paper and Presentation of                               (USA); Dan Simon, Boaz Kenane, Lam Research
                                           overlay, Kun Gao, KLA-Tencor New York                                          the 2018 Hiroshi Ito Memorial Award for                                Corp. (USA); Michael Jamiolkowski, Coventor,
                                           (USA). . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  [10959-5]    the Best Student Paper                                                 Inc. (USA); Andrew Bailey, Lam Research Corp.
                                                                                                                                                                                                 (USA); Marcus Carbery, Lam Research Corp.
                                           Measuring after etch overlay and characterizing                                                                                                       (Ireland); Lisheng Gao, Lam Research Corp.
                                                                                                                          These Awards Sponsored by
                                           tilt fingerprints in multi-tier 3D-NAND structures,                                                                                                   (USA); Ye Feng, Atashi Basu, Jiangtao Hu, Jason
                                           Jaap Karssenberg, ASML Netherlands B.V.                                                                                                               R. Shields, Richard A. Gottscho, Lam Research
                                           (Netherlands); Honggoo Lee, Dong-Young Lee,                                                                                                           Corp. (USA). . . . . . . . . . . . . . . . . . . . . .  [10963-2]
                                           Jun-Yeob Kim, Sangjun Han, Chan-Ha Park, SK
                                           Hynix, Inc. (Korea, Republic of); Aileen Soco, ASML                            Presentation of the 2018 Jeffrey Byers                                 Etch aware computational patterning in
                                           Netherlands B.V. (Netherlands); Nang-Lyeom Oh,                                 Memorial Best Poster Award                                             the era of atomic precision processing
                                           ASML Korea Co., Ltd. (Korea, Republic of); Arno                                                                                                       (Keynote Presentation), Peter Ventzek, Tokyo
                                           van Leest, Mir Shahrjerdy, Tjitte Nooitgedagt, ASML                            Award Sponsored by                                                     Electron America, Inc. (USA); Alok Ranjan, TEL
                                           Netherlands B.V. (Netherlands). . . . . . . . . .  [10959-6]                                                                                          Technology Ctr., America, LLC (USA) .  [10963-3]

                                           Standalone alignment technology enabling feed                                                 TM

                                           forward compensation of on-product overlay
                                           errors, Takehisa Yahiro, Junpei Sawamura, Sonyong                                               SESSION 1
                                           Song, Sayuri Tanaka, Yuji Shiba, Satoshi Ando,                                            MON 2:20 PM TO 3:20 PM
APPLICATION TRACKS
                                           Hiroyuki Nagayoshi, Jun Ishikawa, Masahiro Morita,
Easily find sessions on these three        Yuichi Shibazaki, Nikon Corp. (Japan) . . . .  [10959-7]                                    Keynote Session
important topics within the program.                                                                                       Session Chairs: Roel Gronheid, KLA-Tencor/
                                                                                                                         ICOS Belgium (Belgium); Daniel P. Sanders, IBM
Each conference has grouped the                                                                                                     Research - Almaden (USA)
applicable presentations together and do
not overlap with other conferences.                                                                                       Metal-containing resists for EUV lithography
                                                                                                                          (Keynote Presentation), Robert L. Brainard,
                                                                                                                          SUNY Polytechnic Institute (USA) . . . .  [10960-1]
     • Machine Learning
                                                                                                                          Increased regulatory scrutiny of
                                                                                                                          photolithography chemistries: The need for
     • Stochastics                                                                                                        science and innovation (Keynote Presentation),
                                                                                                                          Brooke Tvermoes, IBM Corp. (USA); David
     • Overlay                                                                                                            Speed, GLOBALFOUNDRIES Inc.
                                                                                                                          (USA) . . . . . . . . . . . . . . . . . . . . . . . . . .  [10960-2]

           THIS PROGRAM IS CURRENT AS OF 2 NOVEMBER 2018. Find complete, up-to-date information and create your personalized schedule at spie.org/al19program.                                                                                                       17
You can also read